반도체 용어 | ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 Ep.4 반도체 용어(2) 편 8794 명이 이 답변을 좋아했습니다

당신은 주제를 찾고 있습니까 “반도체 용어 – ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편“? 다음 카테고리의 웹사이트 ppa.maxfit.vn 에서 귀하의 모든 질문에 답변해 드립니다: https://ppa.maxfit.vn/blog. 바로 아래에서 답을 찾을 수 있습니다. 작성자 삼성전자 반도체 [Samsung Semiconductor] 이(가) 작성한 기사에는 조회수 20,983회 및 좋아요 547개 개의 좋아요가 있습니다.

이것만은 꼭! 반도체 용어 모음. ZIP
  • 광도 [Luminous Intensity] …
  • 광속 [Luminous Flux] …
  • 광효율 [Luminance Efficiency] …
  • 규소 [Silicon] …
  • 나노(nano) …
  • 낸드 플래시 메모리 [NAND Flash Memory]

반도체 용어 주제에 대한 동영상 보기

여기에서 이 주제에 대한 비디오를 시청하십시오. 주의 깊게 살펴보고 읽고 있는 내용에 대한 피드백을 제공하세요!

d여기에서 ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편 – 반도체 용어 주제에 대한 세부정보를 참조하세요

늘 보던 스마트폰의 뇌 AP와 늘 보던 화면을 담당하는 DDI까지
반도체 어려운 반도체 용어 오늘부터 걱정 끝!
이 순간부터 들어본 반도체 용어가 아닌
다 아는 반도체 용어로 🤓
📌편하게 보기
00:00 프롤로그
00:12 AP
00:27 NPU
00:43 이미지 센서
00:56 DDI
01:09 휘발성 메모리
01:28 비휘발성 메모리
01:47 에필로그
📕 반도체 백과사전 복습 시간
EP.1 반도체의 모든 것 https://youtu.be/AysV2zIzY94
EP.2 생활 속 반도체 https://youtu.be/sFrz_mh1P5Y
EP.3 반도체 용어사전 https://youtu.be/l0s_mjKdnYo
[반도체 백과사전]은 매주 월요일, 수요일 5시에 업로드 됩니다!😗
[삼성전자 반도체] 채널 ‘구독’ 하고, [반도체 백과사전]을 응원해주세요
📢 ‘구독’📌, ‘알림설정‘🔔 하고, 빠르고 유용한 반도체 이야기를 만나보세요 👍
#삼성전자 #삼성전자반도체 #반도체 백과사전

반도체 용어 주제에 대한 자세한 내용은 여기를 참조하세요.

반도체 용어 정리 -1 – 네이버 블로그

(반도체 소자를 이용하여) 미약한 신호를 원하는 수준의 신호로 증폭시키는 전기적인 작용을 말함. Analog 연속적인 숫자나 값을 나타내는 …

+ 여기에 표시

Source: m.blog.naver.com

Date Published: 10/27/2022

View: 3982

반도체 용어1)

반도체 용어1) … Access Time : 1) 반도체 소자에서 기준 입력 신호로부 … Auto Loader : 반도체 제조(FAB, TEST, ASS’Y) 어떤 공.

+ 여기에 자세히 보기

Source: vmt.co.kr

Date Published: 4/17/2022

View: 5274

반도체 용어 정리 : 21세기 사람이라면 이 정도는 알아야 한다.

종합 반도체 회사를 말한다. 반도체 설계 기술도 있고 생산설비도 갖추고 있는 기업을 말한다. 삼성전자, SK하이닉스, 인텔 …

+ 여기에 보기

Source: addsmile.tistory.com

Date Published: 3/22/2022

View: 8956

반도체용어 – uvsmt

반도체 공정에서 얼로이 스텝은 반도체와 그 상층 물질을 서로 확장시켜 그 사이에 저항성 콘택트를 형성한다. Aluminum : Al(알루미늄). 반도체 소자에서 칩의 각 …

+ 여기에 표시

Source: www.uvsmt.com

Date Published: 8/25/2022

View: 1541

[산업] 반도체 핵심용어 및 Flow 정리 – DDOLKONG

반도체 관련 주요 용어. ▷ 반도체. – 반도체 물질(Si, Ge, IGZO 등)(*) → 반도체 소자(IC(집적회로)) → ​반도체 제품(CPU, RAM 등).

+ 더 읽기

Source: ddolkong.tistory.com

Date Published: 2/27/2021

View: 4854

반도체 산업 용어 정리 – 기계인간 John Grib

용어. 데너드 스케일링(Dennard Scaling); EUV; Fab; Fabless; Foundry; 노광기; 반도체 제품. 시스템 반도체와 메모리 반도체; DRAM(Dynamic RAM) …

+ 여기에 보기

Source: johngrib.github.io

Date Published: 9/6/2022

View: 1647

주제와 관련된 이미지 반도체 용어

주제와 관련된 더 많은 사진을 참조하십시오 ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편. 댓글에서 더 많은 관련 이미지를 보거나 필요한 경우 더 많은 관련 기사를 볼 수 있습니다.

'삼성 반도체'가 알려주는 14가지 '반도체 용어' | 반도체 백과사전 EP.4 반도체 용어(2) 편
‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편

주제에 대한 기사 평가 반도체 용어

  • Author: 삼성전자 반도체 [Samsung Semiconductor]
  • Views: 조회수 20,983회
  • Likes: 좋아요 547개
  • Date Published: 2021. 11. 22.
  • Video Url link: https://www.youtube.com/watch?v=M30HAGmHKi0

이것만은 꼭! 반도체 용어 모음.ZIP – 삼성반도체이야기

삼성반도체이야기는 지난 2013년부터 다양한 반도체 용어를 소개해 왔습니다. 많은 양의 콘텐츠가 쌓인 만큼 그 동안 알려드린 반도체 용어를 총정리할 수 있는 콘텐츠를 준비했는데요. 반도체 분야에 관심이 많거나 공부를 하고 있는 분이라면 주목해주세요!

광도 [Luminous Intensity]

빛의 단위 중 하나. 단위는 cd(칸델라, Candela).

광속 [Luminous Flux]

빛의 단위 중 하나. 단위는 lm(루멘, Lumen).

광효율 [Luminance Efficiency]

단위전력(1W 인가시) 당 방출되는 광량(Lumen).

규소 [Silicon]

반도체적 성질을 가지고 있어 웨이퍼의 주재료가 되는 원소.

나노(nano)

반도체 회로 선폭에 사용되는 단위로 1나노미터는 10억분의 1미터에 해당.

낸드 플래시 메모리 [NAND Flash Memory]

반도체의 셀이 직렬로 배열되어 있는 플래시 메모리의 한 종류.

낸드플래시 메모리의 데이터 저장 방식

메모리카드, USB, SSD 등의 스토리지는 낸드 플래시(NAND Flash) 메모리를 사용하여 데이터를 저장. 낸드 플래시의 타입은 데이터를 저장하는 방식에 따라 SLC, MLC, TLC, QLC 등으로 나뉨.

노광 [Stepper Exposure]

마스크에 빛을 통과시켜 웨이퍼에 회로를 그려 넣는 공정.

노어 플래시 메모리 [NOR Flash Memory]

반도체의 셀이 병렬로 배열되어 있는 플래시 메모리의 한 종류.

다이오드 [Diode]

저마늄이나 규소로 만들며 정류, 발광 특성 등을 지닌 반도체 소자.

도광판 [LGP, Light Guide Plate]

BLU(Back Light Unit)의 휘도와 균일한 조명 기능을 수행하는 부품.

도체 [Conductor]

전기 혹은 열이 잘 흐르는 물질로 금(Au), 은(Ag), 구리(Cu), 알루미늄(Al)등이 있음.

램(RAM) [Random Access Memory]

정보를 기록하고 기록해 둔 정보를 읽거나 수정할 수 있는 메모리.

롬(ROM) [Read Only Memory]

한 번 기록된 정보를 읽을 수만 있고 수정할 수 없는 메모리.

리드 프레임 [lead frame]

반도체 칩과 외부 회로를 연결하는 전선(lead)과 반도체 패키지를 기판에 고정시키는 버팀대(frame) 역할을 하는 금속기

마스크 [Mask]

반도체 집적회로의 제조공정 중 포토공정에서 사용하는 미세한 전자회로가 그려진 유리판.

머신러닝 [Machine Learning]

기계 스스로 경험적 데이터를 수집, 분석하고 학습해 스스로 성능을 향상시키는 기술.

메모리 반도체 [Memory Semiconductor]

정보(Data)를 저장하는 용도로 사용되는 반도체.

모바일 AP [Mobile Application Processor]

스마트폰, 태블릿PC와 같은 전자기기에 탑재되어 명령해석, 연산, 제어 등의 두뇌 역할을 하는 시스템 반도체.

모바일 D램 [Mobile DRAM]

모바일 기기를 작동하는 데 쓰이는 메모리 반도체.

미들•하이파워 LED 패키지 [Mid·High-Power LED Package]

일반적으로 소비전력이 1W(와트)를 넘는 제품을 하이파워, 그 미만을 미들파워 LED 패키지라고 부름.

반도체 [Semiconductor]

전기전도가 도체와 부도체의 중간정도 되는 물질.

부도체 [Insulator]

전기 혹은 열이 잘 흐르지 않는 물질.

빛의 단위

광도(cd), 광속(lm), 조도(lux), 휘도(nit), 광효율(lm/W).

산화막 [Oxide Film]

공정에서 발생하는 불순물로부터 실리콘 표면을 보호하는 막.

색온도 [Color Temperature]

광원 빛을 표시하는 방법 중 하나. 단위는 K(켈빈).

색재현율 [Color Gamut]

디스플레이에서 색을 표현할 수 있는 능력을 수치로 표현한 것.

세정공정 [Cleaning]

화학물질처리, 가스, 물리적 방법을 통해 웨이퍼 표면에 있는 불순물을 제거하는 공정.

수율 [Yield]

반도체에서 수율은 결함이 없는 합격품의 비율.

스마트카드 [Smart Card]

다양한 기능이 들어있는 반도체 집적회로(IC)가 플라스틱 카드에 삽입된 형태.

스택 공법 [Stack method]

반도체 칩 평면에 셀을 복층으로 쌓아 올려 집적도를 높이는 기술.

시스템 반도체 [System Semiconductor]

논리와 연산, 제어 기능 등을 수행하는 반도체.

식각 [Etching, 에칭]

웨이퍼에서 필요한 회로 패턴을 제외한 나머지 부분을 제거하는 공정.

신경망처리장치(NPU) [Neural Processing Unit]

우리의 뇌처럼 정보를 학습하고 처리하는 프로세서.

아이소셀 [ISOCELL]

CMOS 이미지센서의 화소(픽셀)가 미세해짐에 따라 화소간 격벽구조를 통해 빛의 간섭 현상을 억제, 성능 한계를 극복한 신기술.

양자 효율 [Quantum Efficiency]

물질 중에서 광자 또는 전자가 다른 에너지의 광자 또는 전자로 변환되는 비율.

연색지수 [Color Rendering Index]

광원의 성질 중 하나. 단위는 CRI.

웨이퍼 [Wafer]

반도체 집적회로를 만드는데 사용하는 주요 재료로, 주로 실리콘(규소, Si), 갈륨 아세나이드(GaAs) 등을 성장시켜 얻은 단결정 기둥(Ingot)을 적당한 지름으로 얇게 썬 얇은 원판모양의 판.

이미지센서 [Image Sensor]

피사체 정보를 읽어 전기적인 영상신호로 변화해주는 소자.

임베디드 플래시 로직 공정 [embedded Flash (eFlash) Logic Process]

시스템 반도체 회로 안에 플래시메모리 회로를 구현한 것.

잉곳 [Ingot]

고온에서 녹인 실리콘으로 만든 실리콘 기둥.

저항 [Resistance]

전류의 흐름을 방해하는 정도를 나타내는 성질.

전력 반도체 소자 [Power IC]

전력을 시스템에 맞게 변환, 제어하는 반도체 소자.

조도 [Illumination]

빛의 단위 중 하나. 단위는 lux(룩스).

종합 반도체 업체(IDM) [Integrated Device Manufacturer]

반도체 설계부터 완제품 생산까지 모든 분야를 자체 운영하는 업체.

증착 [Deposition]

웨이퍼 표면에 얇은 막을 씌워 전기적 특성을 갖도록 만드는 공정.

집적회로(IC) [Integrated Circuit

많은 전자회로 소자가 하나의 기판 위 또는 기판 자체에 분리 불가능한 상태로 결합되어 있는 초소형 구조의 복합적 전자소자 또는 시스템.

차량용 인포테인먼트(IVI) [In-Vehicle Infotainment]

차 안에 설치된 장비들이 차량 상태와 길 안내 등 운행과 관련된 정보는 물론, 사용자를 위한 엔터테인먼트적인 요소를 함께 제공하는 서비스.

추출효율 [Extraction Efficiency]

LED에 주입된 전자와 LED 밖으로 방출되는 광자의 비.

커패시터 [Capacitor]

전자회로에서 전기를 일시적으로 저장하는 장치로, 콘덴서(Condenser) 혹은 축전기라고도 부름.

커패시턴스 [Capacitance]

커패시터가 전하를 충전할 수 있는 능력으로 정전용량 혹은 커패시턴스(Capacitance)라고 함.

컬러빈 [Color Bin]

빈(Bin)이란 LED 패키지를 특성에 따라 나누는 것을 의미하는 것으로 컬러빈은 빛의 색상에 따라 패키지를 구분하는 것.

클래스 [Class]

반도체 클린룸의 청정도를 나타내는 단위.

트랜지스터 [Transistor]

규소나 저마늄으로 만들어진 반도체를 세 겹으로 접합하여 만든 전자회로 구성요소로, 증폭 작용과 스위칭 역할을 하는 반도체소자.

트렌치 공법 [Trench method]

반도체 칩 평면을 아래로 파내서 만든 공간에 셀을 배치해 집적도를 높이는 기술.

파운드리 [Foundry]

반도체 생산을 전담하는 위탁 생산업체.

파장 [Wavelength]

음파나 전자파 같은 주기적인 진동(Wave)이 1주기 동안 진행되는 길이.

패키징 [Packaging]

반도체 칩을 탑재될 전자기기에 적합한 형태로 만드는 공정.

팹리스 [Fabless]

생산 라인을 가지지 않고, 반도체 설계를 전문으로 하는 업체.

플래시 메모리 [Flash Memory]

전원이 끊겨도 데이터를 보존하는 특성을 가진 반도체의 한 종류.

플립칩 [Flip Chip]

LED 발광효율을 개선시키기 위한 기술 중 하나.

핀펫 공정 [FinFET, Fin Field Effect Transistor]

기존 평면(2D) 구조의 한계를 극복하기 위해 도입된 입체(3D) 구조의 공정 기술.

형광체 [Phosphor]

LED칩에서 나온 빛의 색깔을 변화시키는 데에 사용되는 물질.

휘도 [Luminance]

빛의 단위 중 하나. 단위는 nit(니트) 혹은 cd/㎡(칸델라/제곱미터).

ASIC [Application Specific Integrated Circuit, 주문형 반도체]

특정 응용 분야 및 기기의 특수한 기능 하나하나에 맞춰 만들어진 집적회로.

BLU [Back Light Unit]

액정 디스플레이(LCD)의 광원으로 사용되는 부품.

CCD 이미지센서 [CCD image sensor]

전하결합소자(CCD, Charge Coupled Device) 구조를 가진 이미지센서.

CMOS [Complementary Metal Oxide Semiconductor, 상보형 금속 산화 반도체]

마이크로프로세서나 S램 등 디지털 회로를 구성하는데 사용되는 집적회로의 한 종류.

CMOS 이미지센서(CIS) [CMOS Image Sensor, CIS]

상보형 금속산화 반도체(CMOS, Complementary Metal Oxide Semiconductor) 구조를 가진 저전력 촬상 소자.

DDI [Display Driver IC, 디스플레이 구동칩]

TFT LCD(초박막 액정표시 장치), PDP(플라즈마 디스플레이 패널) 등 디스플레이를 구동하는 IC 칩.

DSP [Digital Signal Processor, 디지털신호처리장치]

디지털 연산으로 신호를 처리하는 집적회로(IC)로 된 마이크로프로세서.

D램 [Dynamic Random Access Memory, 동적 메모리]

용량이 크고 속도가 빠르기 때문에 컴퓨터의 주력 메모리로 사용되는 램.

EDS 공정 [Electrical Die Sorting]

웨이퍼에 형성된 집적회로 칩들의 전기적 동작 여부를 선별하는 공정.

eMMC [embedded Multi Media Card]

데이터 고속처리를 위해 모바일 기기에 내장하는 저장용 메모리 반도체.

5G

최대 속도가 20Gbps에 달하는 이동통신 기술로, ‘초고속•저지연•초연결성’이 가장 큰 특징.

‘GAA 구조’ 트랜지스터

3나노 이하 초미세 회로에 도입될 GAA구조의 트랜지스터는 전류가 흐르는 채널 4면을 게이트가 둘러싸고 있어 전류의 흐름을 보다 세밀하게 제어하는 등 채널 조정 능력 극대화.

HDD [하드디스크드라이브, Hard Disk Drive]

자성체로 코팅된 원판형 기판에 데이터를 저장하는 대용량 저장장치.

LED [Light Emitting Diode, 발광 다이오드]

화합물 반도체의 일종으로 Ga(갈륨), P(인), As(비소)를 재료로 하여 만든 전기 구동형 발광 다이오드 소자.

MCP [Multi Chip Package, 다중 칩 패키지]

여러 개의 반도체 칩을 하나의 패키지로 묶은 반도체.

MCU [Micro Controller Unit]

기기 등의 조작이나 특정 시스템을 제어하는 역할을 수행하는 집적회로(IC).

NFC [Near Field communication]

10cm 이내의 근거리에서 데이터를 교환할 수 있는 무선통신기술의 하나.

NVMe [Non-Volatile Memory express]

SSD를 탑재한 서버, PC의 성능 향상과 설계 유연성을 높일 수 있도록 만든 PCIe 인터페이스 기반의 프로토콜.

N-type Semiconductor [n형 반도체]

순수한 반도체에 특정 불순물(5족 원소)을 첨가하여 전자(electron)의 수를 증가시킨 반도체.

PCI Express(PCIe) [Peripheral Component Interconnect-Express]

기존 SATA 인터페이스의 초당 데이터 전송 속도의 성능 한계를 극복한 인터페이스 규격.

P-type Semiconductor [p형 반도체]

순수한 반도체에 특정 불순물(3족 원소)을 첨가하여 정공(hole)의 수를 증가시킨 반도체.

SATA[Serial ATA, Serial Advanced Technology Attachment]

직렬 전송 방식을 사용하는 인터페이스 규격

SoC [System on Chip, 시스템 온 칩]

전체 시스템을 칩 하나에 담은 기술집약적 반도체

SSD [Solid State Drive]

메모리 반도체를 저장매체로 사용하는 차세대 대용량 저장장치.

S램 [Static Random Access Memory, 정적 메모리]

전원을 공급하는 한 저장된 데이터가 보존되는 램.

TSV [Through Silicon Via, 실리콘 관통전극]

기존 와이어를 이용해 칩을 연결하는 대신 칩에 미세한 구멍을 뚫어 상단 칩과 하단 칩을 전극으로 연결하는 패키징 기술.

3D V낸드플래시 메모리 [3D Vertical NAND, 3차원 수직구조 낸드플래시 메모리]

기존에 단층으로 배열된 셀을 3차원 수직으로 적층한 낸드플래시 메모리.

UFS [Universal Flash Storage]

국제 반도체 표준화 기구 ‘제덱(JEDEC)’의 최신 내장 메모리 규격인 ‘UFS 2.0’ 인터페이스를 적용한 차세대 초고속 플래시 메모리.

반도체 용어 정리 : 21세기 사람이라면 이 정도는 알아야 한다.

실리콘 밸리 일러스트와 반도체

반도체 : 반도체는 어떤 특별한 조건하에서만 전기가 통하는 물질을 말한다.

그리고 보통 그 물질로 만든 제품을 의미한다.

필요에 따라 전류를 조절하는데 사용된다. 실리콘(Si)이 가장 많이 사용되고 있다.

따라서, 전자산업을 실리콘산업(silicon industry)이라고도 하고,

첨단산업 회사가 모여있는 미국 캘리포니아 주의 산호세(San Jose) 지역을 실리콘밸리(Silicon Valley)라고 부른다.

IDM : Integrated Device Manufacturer 의 약자.

종합 반도체 회사를 말한다. 반도체 설계 기술도 있고 생산설비도 갖추고 있는 기업을 말한다.

삼성전자, SK하이닉스, 인텔, 마이크론

파운드리 ( Foundry ) : 반도체의 설계는 거의 하지 않고 위탁받아 생산하는 것만을 전문으로 하는 회사를 말한다.

삼성전자 파운드리 사업부, TSMC, UMC, 글로벌 파운드리 , SMIC

팹리스 ( Fabless ) : 반도체 설계를 전문으로 하는 기업을 말한다.

Fab+less 즉, 제조설비가 없는 이라는 뜻을 지니고 있다.

반도체의 설계, 기술개발만을 전문적으로 하며. 생산은 100% 위탁한다.

기술은 있으나 반도체 설비를 가지는것이 비용적으로 부담이 큰 경우 팹리스 회사가 된다.

퀄컴, 엔비디아, AMD

팹( Fab ) : Fabrication facility 의 약자이다.

실리콘 웨이퍼 제조 공장을 의미한다.

반도체생산을 위한 팹은 먼지와 소음, 자장 등으로부터 완벽하게 보호돼야 한다.

실리콘 ( Si ) : 원자번호 14번 원소명은 규소 이다.

반도체와 관련되는 연구 및 공업분야에서는 보통 규소라 부르지 않고 영어 그대로 실리콘이라 적고 부른다.

실리콘 ( Si ) 원석. 유리(이산화규소 (SiO2) 75%+a) 실리콘건 (실란트. (규소+합성폴리머)) 모래 ( 이산화규소+ 다수의 혼합물)

실리콘 웨이퍼 : 실리콘 단결정 또는 다결정을 길게 기른 후 얇게 잘라서 판모양으로 만든 것이다.

반도체 집적회로나 태양 전지에 널리 사용되는 기본 재료이다.

실리콘 웨이퍼

이 판을 잘라서 회로를 새기면 반도체 제품이 된다.

반도체 패키징 : 반도체를 충격이나 습기로부터 보호하기 위해 플라스틱 등 소재로 보호막을 두르고 외부단자와 칩을 연결하는 기술을 말한다.

메모리 반도체 : 정보를 저장하는 용도로 사용되는 반도체. D램, S램, 낸드 등이 포함된다.

비메모리 반도체 : 정보저장이 아닌 정보처리를 목적으로 제작된 반도체를 말한다.

비메모리 반도체는 컴퓨터 중앙처리장치(CPU)처럼 특수한 기능을 하기 때문에 고도의 회로설계기술을 필요로 한다. CPU, 모바일 AP, 이미지센서 등이 포함된다.

램 ( RAM ) : Random Access Memory 의 약자.

램은 정보를 기록하고 기록해 둔 정보를 읽거나 수정할 수 있는 메모리를 말한다.

전원을 공급하는 한 데이터를 보존하는 S램과 시간이 흐름에 따라 데이터가 소멸되는 D램이 있다.

D램 ( DRAM ) : Dynamic Random Access Memory의 약자.

용량이 크고 속도가 빠르기 때문에 컴퓨터의 주력 메모리로 사용되는 램을 지칭한다.

인텔 1103. 최초의 상업용 디램 wikimedia.org

S램 ( SRAM ): Static random access memory 의 약자.

기억단위(memory cell)의 소자수가 증가하면 구조가 상당히 복잡해 1비트당제조비용이 D램보다 훨씬 비싸게 된다.

하이닉스의 SRAM

CPU : Central Processing Unit 의 약자. 중앙처리장치

컴퓨터의 정중앙에서 모든 데이터를 처리하는 장치라는 뜻이다.

CPU는 컴퓨터의 두뇌라고 할 수 있다.

인텔의 CPU

AP : Aplication Processor 의 약자.

스마트폰의 중앙처리장치로, SPㆍ모바일D램ㆍ플래시메모리 등이 탑재되어 있다.

퀄컴의 AP

이미지센서 : 카메라 렌즈를 통해 들어온 빛을 디지털 신호로 변환해 이미지로 보여주는 반도체.

컴퓨터의 시신경이라고 할 수 있다.

소니의 이미지센서

플래시메모리 : 전원이 끊겨도 저장된 정보가 지워지지 않는 비휘발성 기억장치.

낸드 플래시 메모리 : 전원이 끊겨도 데이터를 보존하는 플래시 메모리의 일종. 줄여서 낸드.

플래시 메모리는 칩을 연결하는 방식에 따라 낸드(Not AND)형과 노어(Not OR)형으로 나뉘는데,

낸드형은 노어형에 비해 제조단가가 싸고 용량이 커서 디지털 카메라나 MP3 플레이어에 주로 쓰이고,

노어형은 속도가 빨라 휴대전화에 많이 쓰인다.

삼성의 낸드

반도체 점유율

좌: 2018 기준 우: 2020 기준

메모리 반도체 시장 1위 : 한국

한국은 삼성전자, SK하이닉스 를 앞세워 메모리 분야 시장 점유율 1위이다. ( 약 74% )

서초 삼성타운.

비메모리 시장 1위 : 미국

미국이 실리콘 밸리의 기술력과 함께 비메모리 분야 시장 점유율 1위이다. ( 약 70% )

미국 실리콘밸리.

통계:2019 기준. 미국 퀄컴 본사

AP 시장 1위 : 퀄컴 (미국)

퀄컴이 37%로 1위이다.

앞서 다뤘듯이 특허경영으로 기술개발의 1인자!

통계:2020 기준 일본 긴자 소니 파크

이미지센서 시장 1위 : 소니 (일본)

소니가 49.1% 로 1위이다.

카메라 잘 만들던 기술력이 이미지센서 시장에 강한 원인일까?

통계:2019 기준 대만 타이베이

파운드리 시장 1위 : TSMC (대만)

TSMC가 약 50% 로 1위이다.

놀러가기 좋은곳으로만 알았지만 반도체 생산에서는 엄청난 강자!

설명이 이해가 가지 않았을 경우를 위해!

최현규.2020.09.30

반도체 용어 A B C D E F G H I J L M N O P Q R S T U V W Z A Acceptor

(어셉터) 밸런스 전자를 받아들여 밸런스 대에 정공을 남김으로써 반도체를 P-형으로 만드는 불순물. 정공은 양성 전화의 운반체 역할을 한다. Align(얼라인) 스테퍼 등에서 전 마스크 패턴과 현 마스크 패턴을 정확하게 중첩하는 것. Alloy 반도체 공정에서 얼로이 스텝은 반도체와 그 상층 물질을 서로 확장시켜 그 사이에 저항성 콘택트를 형성한다. Aluminum :

Al(알루미늄) 반도체 소자에서 칩의 각 소자를 연결하는데 가장 많이 쓰이는 금속.

보통 증착으로 적층 된다. Angle Lap 수직에서 어떤 각도로 잘라, 접합의 깊이를 확대하는 방법. Angstrom 길이의 단위, 1옹스트롬은 만분의 1마이크론이다. Anneal 웨이퍼의 응력을 풀거나 어닐함으로써 소자에의 표면 영향이 줄도록(마지막으로) 하는 고온처리. Antimony 반도체에서 N형 도펀트인 5족 원소.

버리드(BURIED)층의 도펀트로도 쓰인다. APCVD 상압의 반응 용기 내에 단순한 열 에너지에 의한 화학 반응을 이용, 박막을 증착하는 방법이다. atomospheric pressure CVD Arsenic :

As(비소) 매몰층 형성의 디포지션에 쓰이는 N형 도펀트. B Back grinding 웨이퍼 뒷면의 불필요한 막을 제거하고 필요 이상으로 두꺼운 뒷면을 깎아내어 저항을 줄이고 열전도율을 향상시키는 공정. Bake 감광제 도포 후 열에 굽는 것으로 etch나 develop 시 감광제의 접착력을 증가시키기 위함인데 hard bake와 soft bake가 있다. Base 1)NPN 혹은 PNP 트랜지스터의 컨트롤 부분

2) NPN 트랜지스터의 베이스 1이나 수평 PNP 트랜지스터의 에미터 및 콜렉터, 저항 등을 형성하는 보론을 쓰는 P형 확산 Bwam lead 반도체 칩 가장자리의 바깥으로의 보통 금으로 만든 적층 금속 리드.

칩의 기계적, 전기적 콘택트를 형성하는 데 쓰인다. Bipolar- Trabsistor 에미터, 베이스, 컬렉터로 구성되어, 에미터에 의해 베이스로 들어오는 소수반송자의 투입과 컬렉터에 의한 소수 반송자의 집속에 의해 동작하는 트랜지스터.

그 층 구조를 나타내기 위해 NPN 혹은 PNP 트랜지스터라고 불린다. Blade dicing에서 사용하는 도구로 Ni 원판에 diamond grit가 박혀있다.

scribe lane의 폭에 따라 blade의 굵기가 달라진다. (= diamond wheel). Bias 식각 시 PR 패턴의 임계 치수 값과 식각 후의 임계 치수 값 간의 차이. Boat 1) 고온 공정에서 웨이퍼를 지지하는 구조로 형성된 수정조각

2) 습식 공정에서 웨이퍼를 붙잡는데 쓰이는 테프론 혹은 플라스틱 구조물. Boat Puller 일정한 속도로 보트를 웨이퍼와 함께 로에 넣거나 빼는 기계장치. Bonding Pad 소자나 회로를 외부로 연결 할 때 쓰이는 금속의 사각형. Boron(B) 보통의 바이폴러 집적회로 공정에서 격리나 베이스확산에 주로 쓰는 P-형 도펀트. Boron- Trichloride 실리콘 도핑에서 보론의 원료로 쓰이는 가스. Buffer 실제로 반응하는 이온의 수를 유지시켜 산이나 용제의 화학 활동의 급격한 변화를 막는 첨가물. Buried layer 에피층을 키우기 직전에 P형 기관에 N+ 확산을 하는 것. 소자전류 경로에 저저항을 제공한다. 보통의 매몰층 도펀트는 안티몬이나 비소이다. B/I BURN-IN 제품의 수명 및 신뢰성과 관련하여 일정시간 동안 고온과 고압을 인가하여 제품을 동작시켜 조기불량을 조치. C CCD :

charge coupled device 전극의 전압을 조절해서 전하를 다른 전극으로 움직일 수 있는 표면 위의 절연 전극에 의한 반도체 내 전하의 저장에 의해 동작하는 반도체 소자. Carrier 반도체 물질 내에서 전기정보를 전달하는 매체인 전자와 전공을 말한다. Cell 기억소자 내에 데이터를 저장하기 위해 필요한 최소한의 소자집합을 지칭함. Channel 전도를 허용하는 반도체 내의 얇은 지역. 채널은 표면이나 벌크에 형성된다. 이는 오염이나 불완전한 격리를 나타내기도 하나, mosfet나 igfet 동작에 필수적이다. Charge carrier 전자나 홀 등의 반도체 소자 결정에서의 전하의 운반체. Chip 웨이퍼 상에 소자가공이 끝난 상태의 개개의 IC를 말하며 die 도는 pellet 등과 같은 의미로 쓰인다. Chrome 마스크 제작에 쓰이는 금속. 크롬은 이멀젼처럼 쉽게 헤어지지 않으므로 크롬마스크는 수명이 길다. CMOS P-Channel과 N-Channel을 하나의 회로에 동시에 구성하여 단위 transistor의 기능을 발휘하게 한 IC 회로로서 소비전력이 작은 강점이 있다. Coating 웨이퍼 위에 감광제를 도포하는 것. Collector 에미터, 베이스와 함께 바이폴러 트랜지스터를 이루는 세 지역의 하나. Cum yield Fab In에서 제품 출하까지 4그룹(Fab, Probe, Pkg, Test) Yield를 합산한 수율. Contact 적층 되어 있는 전도층들을 연결해 주기 위하여 절연물에 구멍을 뚫는 것을 말한다. Contamination 반도체 웨이퍼의 물리적, 화학적 특성에 악영향을 주는 원치 않는 물질의 총칭. Current 단위 시간당 어떤 지점을 지나는 하전 입자의 양. Curring inking 공장에 의해 불량 칩에 dotting된 (점이 찍힌) ink를 건조하기 위한 공정. Curve tracer 소자의 특성을 스크린에 나타내는 전기테스트 장치. CVD 원료로 가스를 공급해서 기상 또는 기판표면에서 화학반응을 통해 박막을 형성하는 방법이다. 반응실의 압력에 따라 APCVD(상압 화학 기상 증착) LPCVD(저압 화학 기상 증착), HPCVD(고압 화학 기상 증착)으로 나뉜다. (chemical vapor deposition) D 2Dc device(제품)의 상온에서의 전기적 특성 및 동작 상태를 측정하여 그 불량을 제거하기 위한 공정. 3Dc 마킹 공정에 위한 제품 혼입 및 정전기에 의한 제품의 손상을 측정하여 그 불량을 제거하기 위한 공정. Depletion -layer 그곳에 존재하는 전장으로 인해, 전하 운반체가 없어진 반도체 내의 지역. Develop 정렬(align) 및 노광(exposure) 후 현상액을 이용하여 필요한 곳과 필요 없는 부분을 구분하여 상을 형성하기 위해 일정 부위의 PR을 제거하는 것. Deffusion 반도체 제조공정 중 고온의 전기로 내에서 웨이퍼에 불순물을 확산시키는 과정으로 반도체 층의 일부분에 대한 전도형태를 변화시키기 위한 공정이며 온도 및 시간과 밀접한 연관을 갖는다. DRIVE-IN과 동일한 의미. Development 마스킹과 노출 스텝으로 정의되지 않은 부분의 PR을 제거하는 PR 공정. Device 소자. 가공 또는 조립이 완료된 제품을 말한다. Diborane 실리콘 도핑에서 브론의 원료로 쓰이는 가스. Die chip. Die bonding chip을 lead frame에 접착시키는 과정. epoxy bonding, eutectic bonding 등의 방법이 있다. Dielectric 전압이 걸렸을 때 전류를 흘리지 않는 물질. 반도체 공정에 쓰이는 두가지 유전체는 실리콘 산화막과 실리콘 질화막이다. Diffusion 반도체 생산에서 실리콘이나 게르마늄 등의 기판에 약간의 불순물을 넣어 기판 속으로 확산되도록 하는 공정.

이 공정은 온도와 시간에 밀접한 관련이 있다. Dicing 웨이퍼 상의 다수의 칩을 낱개의 칩으로 분리하기 위해 분리선을 따라 잘라주는 과정. Diode 전류를 한쪽 방향으로만 흐르게 하는 두 단자 소자. 다이오드는 반도체의 P형과 N형 지역의 교점에서 생긴다. DIP :

dual in-line package 리드가 소켓에 맞도록 긴변에서 나와서 휘어져 있는 사각형 회로 패키지. DI Water :

de-ionized water 물속에 녹아 있는 무기이온을 제거하여 세척에 사용하는 탈 이온수다. Donor 저도대에 과잉 자유저자를 냄으로써 반도체를 N형으로 만드는 불순물. 자유전자는 음성전하 반송체이다. Dopants 전자나 정공을 제공하므로써 반도체의 전도 형태를 바꾸는 원소. 실리콘의 도펀트는 3족과 5족에 있는 원소이다. Doping 반도체의 전도 형태를 바꿔 주기 위해 P형 또는 N형의 불순물을 확산이나 ION IMPLANTATION에 의해서 주입하는 것을 말하며, 이때 주입되는 불순물을 dopant라고 한다. Dose ion implantation 등 충격에 의해서 반도체의 불순물을 주입하는 것을 dose라고 하며, 그 주입량을 dose양이라고 한다. Drain 소스, 게이트와 함께 유니폴라트랜지스터나 전계 효과 트랜지스터(FET)를 구성하는 한 지역. DRAM dynamic random access memory의 약어이며 정보를 쓸 수 있는 장치이다. Drive-in 주입된 ion 등을 필요한 만큼 확산시키는 공정. diffusion과 동일한 의미. Diffusion – 반도체 제조공정 중 고온의 전기로 내에서 웨이퍼에 불순물을 확산시키는 과정으로 반도체 층의 일부분에 대한 전도 형태를 변화시키기 위한 공저이며 온도 및 시간과 밀접한 연관을 갖는다. Dry Oxide 산소를 써서 키운 실리콘 열 산화막. DUT device under test. E Electron 원자의 핵 주위를 회전하는 하전입자. 다른 원자와 결합하거나 빠져나와 원자를 이온으로 만든다. Electron-beam

: E-beam 초점이 맞는 전자빔의 에너지로 필요한 에너지를 공급하는 증착의 일종. Emitter 1반송자의 원천이나 끝이 되는 트랜지스터의 지역. 2NPN 트랜지스터의 에미터, PNP 트랜지스터의 베이스 콘택트, NPN 트랜지스터의 N+ 콘택트 및 저저항을 형성하는 인을 쓴 N+ 확산. End point etch가 끝나는 순간. Epi epitaxial. Epitaxial 단결정 기판 위에 형성한 단결정 반도체 막. 에피층은 기판과 같은 결정형태이다.

기관이나 매몰층에 적층된 N형 실리콘층은 에피텍시 실리콘이다. Epoxy wettness epoxy bonding 에서 접착제가 chip 이면 paddle과 접촉하는 부분에 퍼져 있는 정도 면적으로 표시한다. Etch 화학 반응을 통해 특정한 지역의 물질을 제거하는 공정. Etch damage 식각 시 식각대상막의 아래층 막을 과도 식각하여 이상이 생기는 현상. Evaporation 열을 써서 소스의 물질을 증착시켜 웨이퍼에 적층하는 공정.

반도체 공정에서는 E-빔이나 필라멘트 증착을 쓰는 것이 보통이다. Exposure 정렬이 끝나면 mask의 상이 웨이퍼에 옮겨지도록 자외선에 노출하는 공정을 말하며 정렬과 노광을 동시작업으로 진행함. F Fab wafer fab을 보세요. FET :

field-effect -transistor 다수 반송자가 게이트를 거쳐 소스에서 드레인으로 흐르는 소스. 게이트, 드레인으로 구성된 트랜지스터.

반송자의 흐름은 밑의 수직 전장으로 조절된다. FICD 식각하고 난 후의 선 폭(final inspection CD). Filament 증착할 재료 옆에 있으면서 전기로 가열되는 코일. Flash memory EEPROM(electrically erasable programable ROM)의 일종으로 칩 전체 혹은 블록 단위로 내장 데이터의 소거가 가능하고 기존의 EEPROM에 비해 싼 가격에 제조할 수 있는 불휘발성 기억소자이다. Form outer lead를 일정한 형태로 모양을 만들어 주는 과정. Flip chip PCB 위에 접적 칩을 FACE DOWN 형태로 붙이는 방법. 이때 bonding pad상 bump를 형성하여 wire bonding까지 동시에 완료된다. Four-point probe 웨이퍼의 쉬트 저항을 재는 데 쓰는 전기장치. 2FT device의 고온에서의 전기적 특성 및 동작 상태를 측정하여 그 불량을 제거하기 위한 공정. Furnace 확산/산화막 성장 공정을 수행할 저닉로를 말한다. FVI final visual inspection. 고객에 대한 제품의 품질보증 측면에서 실시하는 최종 외관검사. G Gate 소스 드레인과 함께 유니포라나 전계효과 트랜지스터의 세 지역을 이루는 하나. Grow junction 용융상태에서 성장되면서 단결정의 불순물 형태를 조절해서 만든 P/N 접합. H Handler test head와 연결되어 test program의 start에 의해 chip을 socket에 삽입하고 test 결과에 의해 칩을 category(또는 bin) 별로 분류하는 자동 기계장치. Hvbrid -integrated -circuits 세라믹 등의 기판에 하나 이상의 반도체 소자의 박박으로 집적해 조립한 구조. Hole 반도체 결정의 밸런스 대에 전자가 없는 자리. 정공의 움직임은 양전하의 움직임과 같다. Hydrofluoric acid 실리콘 산화막을 에치하는 강산. 쓰기 전에 희석하거나 버퍼(buffer) 한다. Hydrogen 수소. 에피텍시 실리콘 성장 등의 고온 반응에 운반 가스로 쓰는 기체. I IC :

integrated circuit 작은 면적에 많은 전자회로가 서로 연결되어 하나의 회로로서 기능을 갖게 한 집적 회로. Impurity 불순물. donor와 accepter와 같이 특정한 목적으로 기판에 주입하는 물질. Ingot 인고트. 용융상태에서 고형화된 실리콘 단결정 막대. Inking 조립공정에 대비하여 양, 불량 칩을 구분하기 위해 고온 경화성 ink를 사용하여 불량 chip에 점을 찍는 공정. Inspection 웨이퍼의 이상 유무를 현미경이나 육안으로 검사하는 공정. Integrated- circuits : IC 집적회로. 반도체로 된 하나의 칩에 몇 개에서 수천 개의 소자로 구성된 전기회로. Ion 전자를 잃거나 얻어서 전하를 가진 입자가 된 원자(음양이 있다.) Ion implantation 원하는 전기적 특성을 얻기 위해 고전압 이온포격을 써서 반도체의 조절된 지역에 선별된 불순물을 넣는 공정. IR implantation resistor의 약어로서 photo에서 확산까지의 공정을 말한다. Isolation 표준 바이폴러 회로 제조의 두 번째 마스크. 격리 IR 공정에서 에치된 지역의 실리콘에 보론을 확산시켜 전기적으로 분리되거나 고립된 지역을 만든다. Isopropyl -alcohol 반도체 공정에서 최종 린스나 드라이어에 쓰이는 용제. J Junction 물질의 전도 형태가 N형에서 P형 형태로 혹은 그 반대로 바뀌는 접면. Junction depth 웨이퍼 아래쪽으로의 접합 깊이. Junction- transistor P/N 접합으로 형성된 바이폴러 트랜지스터. 아는 FET나 점 접촉 트랜지스터와 구분하는 데 쓰는 말이다. L LASER light amplification by stimulated emission of radiation. 레이저에서 통과하는 빛이 에너지를 얻기 위해 빛이 지나가면서 여기된 전자가 여기를 잃는다. 어떤 레이저는 극히 순수한 색, 매우 좁은 빔, 때로는 매우 높은 강도를 발생하거나 증폭한다. Lead frame PFG’G 에 사용되는 기본재료. 크게 paddle, inner lead, outer lead로 구성된다. 사용하는 재료는 크게 Cu와 alloy(Ni+Fe)가 있으며, 제조 방법은 etching type(원판을 필요한 형태만 남기고 식각하여 제조하는 방법)과 stamping type(금형을 이용하여 원판을 필요한 형태로 pressing 하여 제조하는 방법)이 있다. FAB의 LOW WAFER에 해당. Leaky 두 점에 전압이 걸렸을 때 원치 않는 전류가 흐르는 것을 말하는 데 많이 쓰이는 용어. LED light emitting diode. 소수 반송자가 정공과 결합하여 에너지가 빛으로 바뀌는 반도체 소자. 보통 PN 접합이다. LPCND 저압(0.2~0.7mm Tott)의 용기 내에 단순한 열 에너지에 의한 화학 반응을 이용 박막을 증착하는 방법이다. low pressure CVD M Majority -carrier 반도체 물질에서 주가 되는 이동성 전하 운반체(전자 혹은 정공). 예를 들어 N 영역의 전자이다. Marking ink 또는 laser를 이용하여 제품의 상면에 제품 형명, 작업 주 및 관리 코드 등을 인쇄하는 공정. Mask 포토마스킹 공정에 쓰이는 패턴 어레이가 입혀진 유리판. 각 패턴은 빛을 막는 불투명지역과 통과시키는 투명지역이 있다.

마스크는 실리콘웨이퍼에 있는 패턴과 얼라인 되어서 실리콘 산화막이나 금속을 에치하기 전에 PR을 노출한다.

마스크는 이멀션, 크롬, 산화철, 실리콘 혹은 다른 물질로 만든다. MCM multi chip module.

복수의 칩을 하나의 PKG에 탑재하여 MOLDING 한 일종의 MODULE. MESA 인접한 지역의 위에서 투사하여 원 표면의 평면 부분만 남기는 선택적 에치로 만든 소자구조. 메자 기술은 전기적 활성 물질이 메자 지역으로 확장되는 것을 막는데 쓰인다. MICRON 길이의 단위. 1마이크론은 백만 분의 일 미터. Mil 1/1000inch. 25.4m 길이의 단위. Minority Carrier P 영역의 전자 등 반도체의 비주류 이동성 전하 운반체. Module 기억 용량의 증가를 위해 일정용량의 기억소자를 PCB 상에 조합한 제품. Mold Epoxy molding compound를 이용하여 Chip. Paddle. Wire. Inner lead 부분을 보호하기 위해 일정한 형태로 주위를 둘러싸는 과정.

일정한 형태를 음각한 금형(MOLD DIE)에 L/F을 장착하고 어느 정도의 점도를 가진 compound를 채워넣어 경화시키는 방법이 주류이다. (=TRANSFER MOLD). MOS Metal Oxide Semiconductor의 약어이며 실리콘 기판 위에 산화막을 형성시키고 그 위에 실리콘 전극을 형성하여 전장에 의한 실리콘 표면의 전하를 조절할 수 있는 구조. MOSFET 실리콘 위의 얇은 산화막 위의 금속 게이트를 가진 전계효과 트랜지스터. N Negative -Resist 빛을 쬔 지역은 남아있고 빛이 안쪼인 지역이 현상 공정에서 제거되는 PR. 현상 공정에 따라 마스크의 음화가 형성된다. Waycoat와 Microneg가 음성 PR이다. Nitric Acid

: HNO₃ 실리콘 웨이퍼를 세척하거나 금속을 에치하는데 쓰는 강산. Nitrogen

: N₂ 다른 물질과 반응하지 않은 가스. 반도체 공정에서 약품의 운반 가스로 쓰인다. NPN- Transistor 에미터와 콜렉터의 N영역 사이에 베이스의 P영역이 들어있는 트랜지스터. N-Type 다수 반송자가 전자 이어서 음성인 반도체 물질. 실리콘의 N형 도펀트는 V족 원소이다. 이는 다섯 번째 외곽 전자가 전류를 흘린다. O OEM Original Equipment Manufacturing. 주문자 상표 부착. Over Etch 식각 종료점이나 나타난 후에도 Wafer 전면의 박막두께 균일성과 식각 균일성을 고려하여 어느 정도의 식각을 더해주는 것을 말한다. Under Etch의 반대. Overlay 하부와 상부 Mask 층들 사이의 정렬상태. Oxide “Silicon oxide”를 보시오. Oxygen

: O₂ 반도체에서 실리콘을 산화하고, 증착 산화막을 형성하고, 다른 공정스텝을 하는데 쓰이는 가스. P Packing 제품의 포장 관련 작업공정. Pad Lead Frame과 wire를 연결할 수 있도록 소자 내에 금속의 넓은 공간을 말한다. Paddle Chip이 얹혀지는 L/F의 부분. Chip Size에 따라 Paddle Size가 결정된다. Passivation 화학작용이나 부식으로 전기적으로 퇴화하는 것을 막는 처리. 보통 보호는 습기나 오염을 막는다. 산화 층이나 질화 층이 쓰인다. Phosphine

: PH₃ 실리콘을 인으로 도핑할 때 원료로 쓰이는 가스. Phosphorus

: P 표준 바이폴러 IC 기술에서 보통 에미터 확산에 쓰이는 N형 도펀트. Phosphorus

-Oxychloride

: POCI₃ 실리콘을 인으로 도핑할 때 원료로 쓰이는 액체. Photo Resist 감광성 수지를 말하며 구성 성분은 Polymer, Solvent, Sensitizer로 대표되며 현상되는 형태에 따라 양성과 음성으로 나눈다.

양성인 경우는 Sensitizer에 의하여 특징지워지며 음성인 경우는 Polymer에 의해서 특징 지워진다.

미세 Pattern을 얻기 위해서는 막이 얇고 균일하고, Pin Hole이 없고 밀착성이 좋으며 내선성이 좋고 자외선 등에 대해 감도가 좋아야 한다. Photoresist -PR 웨이퍼에 칠해서 마스크를 통해 강도 밝은 빛에 노출하는 감광막.

노출된 PR은 어떤 지역을 에치하도록 PR패턴을 현상공정에서 남긴다. Planar- Structure 단일 평면에서 접합과 확산, 그리고 산화막 마스킹으로 만드는 평면 소자구조. 구조의 평면성은 PR 공정에 유리하다. PLCC Plastic Leaded Chip Carrier. P/N Junction P/N접합. 결정 내에서 주로 정공으로 전도하는 P지역과 주로 전자로 전도하는 N지역과의 접면. PNP 보통 바이폴러 트랜지스터에 쓰이는, 두 개의 P지역에 N 영역이 끼어있는 반도체 결정구조. Positive -Resist 양성 PR. 빛을 안 받은 부분은 남기고, 빛을 받은 부분은 형상에서 제거되는 PR. 마스크의 양화가 현상 공정에서 형성된다.

AZ-1350이 양성 PR 이다. Polycrystalline

-Silicon

: Poly 많은 결정으로 구성된 실리콘. 결정 실리콘은 원래 다결정 실리콘으로 만든다. 폴리는 에피를 너무 빨리 너무 저온으로 적층하면 만들어지고 (의도적이건 우연이), 산화층이 적층해도 만들어 진다. Predeposition

: Predep 정해진 양의 도펀트가 반도체 결정에 들어가는 공정. Probe test 검사에서 제조된 WAFER의 전기적 특성 및 동작 상태를 검사하여 양품과 불량품을 분류하고 불량품에 대해 Repair가능 여부를 판단, 필요 시 전기적 방법이나 LASER로 Repair 하는 WAFER TEST 공정.

=EDS(Electrical Die Sorting 전기적 소자 분류). P-TYPE 다수 반송자가 정공이어서 양성인 반도체물질. 실리콘에서의 P-형 도펀트는 4번째 외곽 전자가 없어서 양으로 하전된 정공으로 전도하는 3-A족 원소가 된다. PVX

: Doped Silox 화학적으로 적층된 인이 많은 실리콘 산화막. PVX Phosphorus-Doped Vapor Deposited Silicon의 준말.

긁힘 방지용으로도 쓰이지만 보통 Vapox층을 쓴다. Q QFP Quad Flat package. Quartz 실리콘 산화물의 다른 이름.

높은 내열성 때문에 수정은 집적회로 공정에 많이 쓰인다. R Reactor 반도체 공정에 쓰이는 물질을 적층하는 장치.

보통 에피 반응기. Vapox 반응기. 질화막 반응기가 있다. S SAM Serial Acess Memory의 약어이며 Video RAM 내부회로의 일부로서 Data 출력시 Serial로 출력할 수 있도록 해주는 회로. Schottky -Barrier 어떤 물질과 반도체 사이에 형성된 전위 장벽.

P/N 접합 정류기의 전하 주입으로 인한 속도 감소 요소를 제외한 정류기로서의 높고 두꺼운 장벽을 가리킨다. Scribe Lane Chip과 Chip 사이에 형성된 일정한 간격의 분리를 위한 LANE. Semiconductor 전도는 정공과 전자에 의해 이루어지며, 전도도로는 도체와 절연체의 중간인, 실리콘이나 게르마늄 등의 원소. Sheet- Resistance 반도체의 P형 또는 N형 도펀트의 수를 말하는, 옴/cm² 단위의 수. STC

: Silicon -Integrated -Circuit 트랜지스터, 다이오드, 저항 및 커패시터가 실리콘에 형성되고 배선되는 집적회로. Silicon- Dioxide

: SiO₂ 실리콘 웨이퍼에 열적으로 키우거나 적층할 수 있는 보호막.

열산화막은 산소(O₂)나 수증기(H₂O)를 써서 900℃ 이상에서 형성한다. Silicon Dust Dicing하는 과정에서 Scribe Lane을 Brade(Dicing에 사용하는 Diamond Wheel)가 고속회전하며 잘라줄 때 발생하는 Wafer 가루. 완전 제거되지 않으면 Bonding Pad에 잔존하여 Wire Bonding에 영향을 준다. Silicon Nitride

: Si₃N₄ 600℃-900℃의 온도에서 웨이퍼에 화학적으로 적층되는 보호막.

이는 소자를 오염으로부터 보호한다. Silicon- Tetrachloride

: SiCl₄ 수소와 반응해서 실리콘 및 염화수소가스를 내는 가스.

에피 실리콘을 적층하는데도 쓰인다. Slug “Buried Layer”를 보시오. SMD :

Surface Mount -Device PCB에 장착할 때 PKG의 Lead가 PCB 표면에 얹혀진 형태로 실장되는 소자. PKG TYPE과 관련이 있으며 SOP류(Sop, SSOP, TSOP, TSSOP..)SOJ,QFP류(QFP, TQFT등). PLCC.

기타 TYPE이 있다.(ref)PIN 삽입형 소자. Smock 방진복. 청정실내에서 입는 작업복을 말하며 먼지가 나지 않으며 내부의 먼지도 밖으로 나오지 않는다. SOJ Small Out-Line J-Bent Package. Soild State 기체 및 액체와 함께 물질의 삼태의 하나. Solide State Electronic 전자관 기술에 상대되는 말로서, 반도체, 자화철, 막 등의 고체물질로 만든 소자나 회로를 일컫는 말. Source 게이트 및 드레인과 함께 FET나 유니폴라의 세 지역의 하나. Sputtering Physical Vapor Deposition. CVD에 대비해서 쓰는 말로 박막을 증착하는 방법 중 Sputtering 등의 물리적 증착법을 이용하는 기술의 총칭. SRAM Static Random Access Memory의 약어이며 정보를 읽고 쓸 수 있는 기억 소자로서 기억소자가 Latch 구조로 되어있어 전원이 공급되는 한 정보가 유지된다. Steam Oxide 가스(산화나 질소)를 98-100℃의 물에 버블시켜 키우는 열 산화막. Stepper 사진 노광 장치의 일종으로 Reticle의 Pattern을 광학 렌즈를 이용 Wafer위에 축소 노광하여 전사하는 방식을 이용한다. Subcollector “Buried Layer”를 보시오. Substrate 위에 소자. 회로 및 에피층이 만들어지는 원판. Sulfuric Acid

: H₂SO₄ 실리콘 웨이퍼를 세척하고 PR을 제거하는 강산. Surface State 결정의 불완전이나 오염으로 반도체 표면에 생겨서 시간에 따라 나쁘게 변하는 과잉도너, 액셉터 및 트랩. Susceptor 에피 성장이나 질화막 적층 등의 고온 공정 때 웨이퍼를 고정하는 납작한 물질(보통 흑연). Sweeping Wire Bonding된 상태에서 Bonding Pad와 Lead tip의 직선거리에서 Wire가 휘어진 정도. T TCE :

Trichloro ethylene 웨이퍼와 일반 세척에 쓰이는 용제. Thermal Oxide 실리콘 반도체 공정에서 실리콘을 고온에서 산소에 노출해 형성한 산화막. 그 접면은 이온불순물이나 결함(표면 준위)이 없다. Thermocouple 반응기로의 온도를 재는 장치. 두 종류의 선을 한 점에 용융시켜 만든다. 열은 두물질 사이의 온도차에 비례하는 전압을 일으킨다. Thin-Film

-Integrated Circuit 유리 세라믹 기판 위에 탄탈이나 다른 물질로 패턴을 형성한 회로.

실리콘 IC보다 크다. “FIC”라고도 한다. Transistor 증폭작용을 위해 전하 반송자의 흐름을 사용하는 반도체 소자. 그 이름은 “Transfer Resistor”라는 전기적 특성에서 왔다.

전자관과 비교해서 트랜지스터는 수명이 길고, 효율이 크고, 신뢰도와 집적도가 높다는 장점이 있다. Trim Outer Lead와 Lead 사이를 연결하고 있는 Dam Bar(Outer Lead의 지지 및 Mold시 Die Clamp 부분으로 사용)를 잘라주는 과정.

Dejunk(junk를 제거. Junk는 Body외곽과 Dam Bar, Outer Lead에 둘러싸인 Resin)도 이때 실시한다. TSOP Thin Small Out-Line Package. Tube Wafer에 불순물이 들어가는 것을 막기 위해서 전기로의 가열 코일과 Wafer 사이에 장착되어 있는 원통 모양의 Quartz 제품을 말한다. Tweezer 웨이퍼를 잡는데 사용하는 도구이다. U Under Etch 원하는 두께보다 적게 식각되는 것. Unipolar transistor 동작이 다수 반송자에만 의존하는 FET 등의 트랜지스터. UV Ligh 자외선 (Ultra Violet Light)으로 자외선에 비해 파장이 짧고 Energy Density가 큰 특징이 있어 Photolithography 공정에 이용된다. V VLF Hood 먼지를 없애기 위해 수직 라미나(Laminar)에 플로우를 갖춘 작업대. Voltage 하전입자(결국은 전류)가 흐르도록 두 점에 걸린 힘. W Wafer 집적 회로를 만들기 위해 반도체 물질의 단결점을 성장시킨 기둥모양의 Ingot를 얇게 잘라서 원판모양으로 만든 것을 말한다. Wafer Fab 웨이퍼 위 혹은 안에 회로나 소자가 만들어지는 조작. Wafer Sort 집적 회로가 동작하는지를 보는 테스트 스텝.

프로브(Probe)를 회로의 패드에 대고, 전기 신호를 걸어 올바른 것이 나오는가를 봄으로써 측정한다. Wafer Etch 반도체 제조공정에 있어 Wafer의 어떠한 표면층을 식각하고자 할 때 화공 약품(액체, 기체)을 이용하여 식각하는 방법. Wire Bonding Chip 상의 Bonding Pad와 L/F의 Inner lead tip을 금세선 (혹은 알루미늄세선)으로 접합시켜주는 과정.

Thermo Compression Bonding(열 압착 Bonding), Thermosonic Bonding(저온 열 압착 Bonding), Ultrasonic Bonding(초음파 Bonding) 등의 방법이 있고 세선의 굵기는 25㎛-50㎛ 정도. Capillary(Au ball Bonding), Wedge(Al Wedge Bonding) 등의 Tool을 사용한다. Z ZIP Zigzag In-line Package.

[산업] 반도체 핵심용어 및 Flow 정리

blog.naver.com/daru0326/222110115199

1. 반도체 관련 주요 용어

▶ 반도체

– 반도체 물질(Si, Ge, IGZO 등)(*) → 반도체 소자(IC(집적회로)) → ​반도체 제품(CPU, RAM 등)

(* 특정 조건하에서만 전기가 통하는 물질)

– 일반적으로 반도체 제품들을 반도체로 통칭함

– 비메모리(시스템) 반도체(M/S 70%)(*), 메모리 반도체(M/S 30%)

(* 시스템 반도체 시장 내 한국 점유율은 한자릿대로 매우 낮다. 참고 블로그)

출처 : 비즈와치

* 반도체 선폭 / 공정 미세화 (14nm공정 → 10nm공정 → 7nm공정 …)

– 반도체 선폭 : MOSFET 구조 반도체에서 채널길이

출처 : https://news.skhynix.co.kr/1472

– 공정 미세화 : 반도체 선폭을 미세화하여 성능을 높인 공정

– 최근 나온 14, 10, 7nm 공정 등은 실제 선폭과는 다르다. 즉, 무어의 법칙에 의해 임의로 붙인 선폭 길이라 볼 수 있다.

(최근 반도체는 단순히 선폭 감소뿐만이 아니라 Fin-FET 3D 구조, 공정성숙화(LPP, LPC, LPU 등)으로 성능이 향상된다. / 추가적인 설명)

▶ 트랜지스터

출처 : https://m.blog.naver.com/paval777/221487119720

– 반도체의 기초&핵심 소자. 칩 하나에 수천만개 ~ 수억개가 들어간다.

– 게이트(GATE)에 전압이 가해졌을 때에는 전류를 통하게 하고, 반대 상황에서는 전류를 끊는 역할을 한다.(수도꼭지라 보면 된다.)

– 트랜지스터를 통해 데이터(bit : 0, 1)를 처리한다.

* 트랜지스터의 발전

출처 : https://www.youtube.com/watch?v=2CExvJD5UUk

(MOSFET / 3D FinFET)

GAA / MBCFET (최신 기술)

– 기존은 평면형 구조 트랜지스터 사용(MOSFET). 그러나 반도체 크기가 작아질수록 게이트 간격이 좁아지고, 트랜지스터의 누설전류가 커진다.

– 이러한 한계를 개선하기 위해 3D 구조의 트랜지스터 등장(FinFET, Fin Field Effect Transistor) (14nm부터 사용)

– FinFET은 기판을 3D로 올려 게이트와의 접촉면적을 늘려주었다.

– GAA는 이러한 3D 기판을 분리하여 접촉면적을 더욱 늘려주었다.(3나노부터 사용)

– 트랜지스터 설계 핵심 2가지

1. 트랜지스터(및 기타 소자) 오작동 최소화

2. 전체 트랜지스터 설계를 정교화해서 반도체 역할(메모리반도체=제어 및 연산) 극대화

출처 : https://kylog.tistory.com/11

▶ CPU

– Central Procecssing Unit(중앙처리장치), 데이터 연산 작업을 수행 (*)

(* CPU 성능 : 아키텍처(~세대 → 클럭당 성능(IPC) 결정 ), 코어당 연산 속도( 클럭=GHz ), 저장공간( 캐시메모리 ) 등이 중요하다.)

(* 추가로 코어 숫자도 성능에 영향을 준다. 멀티코어 : 듀얼(2) / 쿼드(4) / 옥타(8) 순서) (멀티코어 쉽게 설명)

– 참고 사이트 : 인텔 CPU 정리 (코어i 시리즈), AMD CPU 정리 (라이젠 시리즈)

출처 : 리눅스 저널

* 마이크로아키텍처 (CPU 아키텍처)

– CPU 작동 방식을 서술한 설계도. 설계의 개선/변화가 일어날 때 세대가 변화한다.

– 설계의 방향 : 제조 공정 최소화 / 트랜지스터 개수 최대화 / CPU 다이 크기 최소화(성능↑ , 소비전력↓)

* CPU 역사 도표

– https://quasarzone.com/bbs/qf_cmr/views/453008

* 모바일 AP(Moblie Application Proccessor)

– 연산 역할의 CPU를 포함한 다양한 기능이 하나의 칩으로 통합된 형태의 프로세서 (SoC : System on Chip)

– 퀄컴(스냅드래곤),애플(A 바이오닉), 삼성 – 시스템 LSI(엑시노스) 등

-AP 정리 사이트, AP 성능 비교 사이트​

퀄컴社 AP (출처 : SK하이닉스)

▶ RAM

– Random Access Memory(램, 주기억장치), 휘발성 메모리 저장장치 (*)

(* CPU와 저장장치 사이의 병목현상을 줄여주는 반도체 기반의 저장장치. 전원이 꺼지면 데이터가 지워짐)

* DRAM

– Dynamic RAM(동적 메모리)

– 시간이 흐름에 따라 데이터가 소멸된다.(따라서 데이터 유지를 위해 리프레시(재충전) 기능이 필요)

– 구성 : 트랜지스터 1개 + 콘덴서(캐패시터)(*) 1개 (* 캐패시터 : 데이커 저장기능)

– 현재는 DDR SDRAM 종류만 사용된다.(모바일용 LPDDR(Low Power DDR)도 있다.)

– 설계가 단순하고 고밀도로 제작 가능

* DDR SDRAM​

– Double Data Rate Synchronous DRAM(이중 데이터 전송률 SDRAM)

– 이중 펌핑을 활용해, 클럭 주파수를 증가시키지 않으면서도 전송 속도를 2배로 높인 SDRAM

– 종류 : DDR1 ~ DDR5 (SK하이닉스 DDR5 세계 최초 출시(20.10.06.)) (DDR1 ~ DDR4 차이점)

– DDR SDRAM 현물가 조회 사이트 : D램익스체인지 (2020.09.17. 참고기사)

– 참고 사이트 : DDR SDRAM 나무위키

출처 : https://kocat.tistory.com/27 / https://udteam.tistory.com/808

* SRAM

– Static RAM(정적 메모리), CPU 내부의 캐시메모리로 사용된다.

– 전원을 공급하는 한 데이터를 보존한다.

– 구성 : 여러 개의 트랜지스터 사용

– 집적도가 낮고 회로구조가 복잡하여 대용량 생산이 어렵다. 이로 인해 그래픽카드 등 소용량의 메모리로 사용된다.

▶ SSD

– Solid State Disk(보조기억장치), 비휘발성 메모리 저장장치

– 메모리 반도체(낸드 플래시 메모리)에 데이터를 기록한다.

– 구성 : 컨트롤러, 낸드플래시 메모리

– 컨트롤러(비메모리(시스템) 반도체) : 데이터 입출력, 수명관리 역할

출처 : https://harryp.tistory.com/88

* 플래시 메모리

– Flash Memory, 전원이 끊겨도 데이터를 보존하는 특성을 가진 반도체

– 타입 : NAND Flash(삼성전자, SK하이닉스, 키옥시아, WD, 마이크론 등) / NOR Flash(인텔)

출처 : 삼성반도체

* 낸드 플래시 메모리​

– NAND Flash Memory

– 반도체의 셀이 직렬로 배열되어 있는 플래시 메모리의 한 종류

– 구성 : 트랜지스터 1개 (그 속에 데이터 저장 기능을 하는 플로팅 게이트 내포)

– 수직 배열을 통해 소형화, 대용량화가 가능하다.(다만, 데이터 출력 속도는 느리다.)

출처 : https://news.skhynix.co.kr/1938

▶ HDD

– Hard Disk Drive(보조기억장치), 비휘발성 메모리를 저장장치

– 자기장의 원리로 작동한다.(참고 : 유튜브), 반도체가 아니다.

– SSD 대비 기능은 낮지만 가격경쟁력이 높다.

▶ 그래픽카드

– CPU 명령의 그래픽 작업을 빠르게 처리하는 장치 (디지털 신호 → 영상 신호)

– 구성 : GPU, 쿨링케이스, 외부출력포트 등

– NVIDIA, AMD (팹리스 업체) 설계 → 파운드리 업체 위탁생산 → 그래픽 카드 제조업체(ASUS, GIGABYTE, MSI 등)가 완성

– 멀티 GPU 환경 구현도 가능하다.(NVIDIA : SLI(Scalable Link Interface), AMD : Crossfire)

* GPU

– Graphics Processing Unit(그래픽처리장치), 그래픽 연산을 담당하며 CPU의 부담을 줄여준다.

– 핵심은 수많은 코어를 통한 병렬연산. 그로 인해 훨씬 높은 메모리 대역폭을 필요로 한다. (작동 메커니즘 참고)

– GPGPU(General Purpose computing on GPU) : GPU를 이용한 범용연산 (암호화폐 채굴 등)

– APU도 존재한다.(AMD)

CPU와 GPU의 간략한 구조 (출처 : 나무위키)

2. 반도체 산업 생태계 용어

출처 : https://blog.naver.com/daru0326/222070289247 (E2 자료)

https://www.samsungsemiconstory.com/2225

출처 : https://1boon.kakao.com/cidermics/1841

▶ IDM(Integrated Device Manufacturer, 종합반도체)

– 설계부터 완제품 생산까지 모든 분야 운영 업체

▶ IP 기업(Intellectual Property)

– 칩리스 업체, <셀 라이브러리>(설계 블록)을 설계 업체에 제공하고 라이선스료(로열티)를 받는 업체

▶ 팹리스(Fabless, Fabrication less)

– 반도체 설계 기술은 있으나 생산 라인이 없는 업체

– 애플도 사실상 팹리스 기업. AP 설게 후 제조는 파운드리에 맡긴다.

▶ 디자인하우스

– 팹리스 업체의 설계를 파운드리 생산공정에 최적화시키는 업체 (연결다리 역할)

▶ 파운드리(Foundry)

– 반도체 제조과정만을 전담하는 위탁 생산업체 (참고 사이트)

– 설계뿐만 아니라 이를 제조하는 기술력(미세공정)도 매우 중요하다.

– 비메모리 반도체는 다품종, 소량생산 위주이며 섬세한 제조 기술이 필요하다. 따라서 비메모리 반도체에서 파운드리의 역할이 커진다.

▶ OSAT(Outsourced Semiconductor Assembly and Test, 후공정 업체)

– 어셈블리(패키징) 업체, 칩 포장과 테스트를 전문적으로 하는 업체

3. 반도체 공정 용어

반도체 8대 공정

출처 : https://blog.naver.com/daru0326/222070289247 (E2 자료)

– 전공정 : 웨이퍼를 가공하여 칩으로 제작하는 공정

– 후공정 : 칩을 보호하기 위해 패키징하는 공정

웨이퍼 제작

출처 : 삼성반도체, E2 자료

– 웨이퍼(Wafer) : 반도체 집적회로의 핵심 재료

– 잉곳(고순도(99.999999999%) 실리콘(Si) 기둥)을 절단하여 만든다. 따라서 원형판의 모양을 갖는다.

– 지름 크기 : 150mm(6인치), 200mm(8인치)(DB 하이텍, 매그나칩), 300mm(12인치)(SK실트론) (*)

(* 지름 크기가 커질수록 생산량이 기하급수적으로 증가. 그러나 최근에는 다품종 소량생산 선호로 8인치도 수요 증가)

산화 공정 (Oxidation)

출처 : 삼성반도체, E2 자료

– 산화 공정 : 웨이퍼 표면에 산소/수증기를 뿌려 산화막(SiO2)을 형성시키는 과정

– 산화막의 역할 : 실리콘 표면 보호, 분리 절연

– 산화막 형성 방법 : 열산화, 양극 처리, PECVD 등

– 열산화 방법 (800~1200℃ 고온에서 산화막 형성)

(1) 건식 산화 : 산소만을 사용 (전기적 특성이 좋다.)

(2) 습식 산화 : 산소, 수증기를 모두 사용 (동일 작업 기준으로 건식 산화보다 5~10배 두껍다.)

포토 공정 (Photo)

출처 : 삼성반도체, E2 자료 (EUV 장비는 현재 ASML 독점)

– 포토 리소그래피(Photo Lithography) : 웨이퍼 위에 포토마스크(*)를 빛을 이용해 비추어서 회로를 그려넣는 과정 (* 포토마스크 : 회로 패턴을 담은 필름. 사진 원판의 기능을 한다.)

– 미세 회로 패턴 구현은 전적으로 포토 공정에 의해 결정된다.​

– 블랭크마스크 : 패턴이 형성되기 전 마스크 (회로설계 패턴 -> 합성석영 유리기판 -> 전자 빔으로 회로 새겨넣음)​

– 작업 과정

(1) 감광액(PR, Photo Resist) 도포

(2) 노광(Stepper Exposure) : 포토마스크와 렌즈를 통과시킨 빛을 통해 회로를 찍어냄

– 전체 공정에서 소요되는 시간 중 60%, 노광기는 생산원가의 약 35% 차지 (중요)

– 광원에 따라 구현할 수 있는 최소 선폭이 결정됨. 미세공정에서는 미세선폭 필수

– 멀티패터닝 방법으로 미세공정 구현 가능

(3) 현상(Develop) : 현상액을 뿌려 ‘노광된 영역(양성 감광액) or 노광되지 않은 영역(음성 감광액)’ 선택 제거

* 멀티 패터닝​

– LELE(Litho-Etch-) : 노광과 식각 과정 반복 / (단점) 정확한 위치 정렬(오버레이) 문제

– SADP(Self-Aligned Double-Patterning) : 노광 이후 여러 번의 식각 및 증착 / (단점) 실질 공정수가 늘어남

– 초미세 공정을 위해 LELELE, SAQP(Quadruple, 4겹) 기법도 활용

– 적용 분야 : DRAM(1Xnm) 및 3D NAND

* EUV (Extreme UltraViolet)

출처 : E2 자료

– EUV : 노광 시 ​13.5nm 파장 구현 (해상도 극대화, 공정수 대폭 감소 가능(1/5~1/6 수준))

– 단, 기존 장비(ArF)의 2배 가격 / 박막 거울 사용(효율 30% 감소) / 시간당 웨이퍼 처리량 향상 필요

– 적용 분야 : 비메모리 반도체(선단공정, 7nm) / DRAM(1Xnm) 및 3D NAND(적층으로 생산성 향상)는 추후 도입 예정

– 참고 : https://blog.naver.com/shakey7/221375990132

* EUV 펠리클

출처 : E2 자료

– EUV는 펠리클을 2번 통과하기 때문에 광량 손실이 2회 발생

– 그렇기에 포토마스크 보호를 위해서는 펠리클이 반드시 필요 (소모품, 2주)

– 펠리클 기술이 아직 완성단계가 아니다.(조건 : 투과율 90% 이상, 높은 열내구성(1200℃) 등)

– 2021년 펠리클 수요 : 1만장 예상 (시장규모 3천억원) / 2024년 펠리클 수요 : 4.5만장 예상 (시장규모 1.4조원)

– 참고 : https://blog.naver.com/shakey7/221362788854

식각 공정 (Etching)

출처 : 삼성반도체

출처 : E2 자료

– 식각 공정 : 노광(감광액)을 통해 웨이퍼에 그려진 회로페턴을 정밀하게 완성하는 공정. 감광액이 없는 산화막을 제거하는 과정이다.

– 식각 종류 : 산화막 제거 방식에 따라 습식(용액)과 건식(플라즈마 : 이온화된 기체)으로 나뉜다.

– 건식 식각 : 높은 정확도로 인해 수율이 높으므로 대부분에 활용된다.

– 박리(Strip) : 식각 이후 감광제를 제거해주는 과정

* 불화수소(HF, 플루오린화 수소)

– 건식 식각 공정에 사용하는 기체가 바로 불화수소이다.

– 그 이유는 SiO2와 반응을 잘하고, 끓는점이 19.5도로 가스형태로 보관 용이

– 12N(순도 99.9999999999%), 5N(순도 99.999%), 4N(순도 99.99%)에 따라 수율이 좌우된다.

– 무수불산(AHF) : 고순도 불화수소의 원재료

* 실리콘/쿼츠 파츠(Si-parts)

– 캐소드, 링 등 다양한 형태가 있으며 생산수율을 결정하는 중요 부품

– 실리콘(Si) 및 쿼츠(Quartz=석영=실리카, SiO2로 구성)(*)를 소재로 제작

(* 쿼츠소재는 비열/비전도율 및 및 화학반응 내성이 좋다.)

– 소모품이다.(부품별 소모 주기는 다르다.)

박막 증착 공정 (Deposition)

출처 : 삼성반도체, E2 자료

– 증착 방법 : 물리적 기상증착방법(PVD, Physical Vapor Deposition) / 화학적 기상증착방법(CVD, Chemical Vapor Deposition)

– 금속막은 PVD(빔 증착 등), 절연체막은 CVD, ALD 방식을 주로 사용한다.

​ * 공정 과정

1) 이온주입(Ion Implantation)

– 불순물(이온 : 전도체 역할)(*)을 가스입자로 만들어 웨이퍼 전면에 균일하게 넣어줌. 이 과정을 통해 전류가 흐르는 전도성을 갖게 된다.(도체역할을 하는 금속)

(* N형 : 15족 원소(P, As) / P형 : 13족 원소(B))

– 이를 도핑(doping)이라고 한다.

2) 박막 증착 공정

– 이온주입을 마친 기판 위에 매우 얇은 박막을 입히는 과정

– 이때 만들어지는 박막은 절연체의 역할을 한다.(반도체를 보호하는 코팅제)

* 화학적 기상증착방법(CVD, Chemical Vapor Deposition)

출처 : E2 자료

– 전구체(Precursor)를 외부 에너지로 기화시킨 뒤 화학반응을 발생시켜 박막을 증착시키는 방법

– 증착 방식에 따라 다양한 방법이 있다.

– ALD(Atomic Layer Deposition) : 기판에 전구체 흡착 후, 반응물을 전구체와 치환시켜 증착시킨다. 이렇게 한층의 박막(Atomic Layer) 완성. 그리고 남은 가스를 배출시킨다.(이 방법을 반복하여 박막을 쌓아올린다.)

– PEALD(Plasma Enhanced Atomic Layer Deposition) : 플라즈마를 이용한 ALD (ALD의 개선판, 생산속도 개선)

– EUV 공정 : 얇은 증착 가능한 ALD 선호 (낮은 생산량 : 공정단계 축소로 해결)

* 전구체(Precursor)

– 박막을 증착하기 위한 용도로 사용되는 물질 (유기금속 화합물) (ALD에서 주로 활용된다.)

– 전구체를 통해 만들어진 박막은 절연막 역할을 한다.

– High-k(고유전율) : 전하를 많이 저장할 수 있다. 현재 DRAM의 capacitor(축전기)에 주로 사용

– Low-k (저유전율) : 전하를 적게 저장한다. 그렇기에 배선 사이의 절연막 증착으로 주로 사용(이동 속도가 높으므로)

– Si 전구체(HCDS, DIPAS), Zr 전구체, Ti 전구체 등 (High-k 전구체는 고마진)

– 종류도 매우 많고 복잡해서 추후에 따로 공부해보겠다.(참고 : https://www.youtube.com/watch?v=1KbTAyw_xjM )

금속배선 공정 (Metalization)

출처 : E2 자료

– 금속배선 또한 증착을 통해 이루어진다. 균일한 박막 형성을 위해 CVD, ALD 방식을 사용한다.

​ – 핵심은 금속 소재 : 알루미늄(Al), 티타늄(Ti), 텅스텐(W) / 구리(Cu)

– 각각의 소재마다 특성이 다르고 장단점이 있다.

* 알루미늄 배선

– 장점) 산화막(SiO2)과의 부착성이 좋고 가공성이 뛰어나다.

– 단점) 실리콘(Si)과 만나면 서로 섞이는 성질. 그로 인해 접합면이 파괴될 수 있다.

– 해결책) 베리어 메탈 박막 형성 → 접합면 보호

– 구리 배선보다 전기 전도도가 좋지 않아서​ 최근에는 구리를 많이 사용한다.

* 구리 배선

– 장점) 전기 전도도가 높다.(= 비저항이 낮다.)

– 단점) 건식 식각이 어려우며 산화막(SiO2)을 통한 확산이 일어난다. / 산화나 부식에 취약하다.

– 해결책) 다마신(Damascene) 공법​

* 다마신 공법 (출처 : https://blog.naver.com/shaho3682/222064898288)

* CMP (Chemical Mechanical Polishing)

출처 : E2 자료

– 위의 다마신 공법에서 <9. 구리 화학/기계적 연마> 단계이다.

– 연마하려는 표면에 따라 슬러리(Slurry)의 소재가 달라진다.

▶ 후공정 과정

출처 : https://blog.naver.com/ruddud920513/222041350374

(1) 웨이퍼(프로브, Probe) 테스트 : EDS(Electrical Die Sorting). 1차 검사.

(2) 패키징 : 웨이퍼를 완전한 반도체 제품으로 만드는 과정

(3) 패키지 테스트 : 제품 출하 전 최종 검사

▶ 테스트 산업의 특성

– 테스트 산업은 장비(Tester, Handler)가 큰 비중을 차지 한다.(테스트 장비 평균 가격 : 약 20억 원)

(주로 해외 업체가 생산을 담당한다.)

– 테스트 산업은 다품종 소량생산 / 팹리스를 통한 외주화가 가능한 시스템 반도체에서 더욱 발달되어 있다.

– 테스트 하우스 : 이러한 장비를 활용하여 테스트 서비스를 제공하는 업체

(주로 팹리스 업체의 외주를 받는다. 테스나, 하이셈, 네오셈 등)

– 테스트 부품

(1) Probe card (웨이퍼 테스트에 주로 사용)

(2) IC Test Socket (포고핀 타입 / 실리콘 러버 타입 : 장단점이 극명해서 서로 보완재로 작용한다.)

후공정 : EDS (Electrical Die Sorting)

출처 : 삼성반도체이야기

– 전기적 특성 검사를 통한 양품/불량품 선별 과정. 또한 여기서 나온 정보로 피드백을 실시하고, 수율 개선 연구가 진행됨

– 프로브 카드(Probe card)에 웨이퍼를 접촉시켜서 진행. 프로브 핀(Probe Pin)이 웨이퍼와 접촉해 전기를 보내고 그 신호를 통해 불량칩을 선별한다.

– Inking 공정을 마친 웨이퍼는 건조된 후, QC(Quality Control) 검사를 거쳐 패키징 공정으로 옮겨진다.

▶ 테스트 부품 : 프로브 카드(Probe card)

– 신기술(MEMS, 식각 방식 활용)을 통해 개선된 부품이 생산된다.

– 시스템(비메모리) / 메모리 각각의 프로브 카드가 다르다.

(메모리 향 프로브카드는 벤더가 몇 없어서 경쟁력이 있다. 그 중 <티에스이> 주목)

– 소모성 부품이다.

후공정 : 패키징, 패키지 테스트

출처 : E2 자료 (플립칩에는 와이어 본딩 대신 범핑(볼 심는) 과정이 들어감)

출처 : E2 자료

– 전공정 과정을 거친 웨이퍼에서 칩을 잘라내어 최종 제품(반도체 패키지)을 만드는 과정

– 반도체(IC)를 외부환경으로부터 보호하고, 전기적으로 연결시켜준다.

– 패키징 단계는 패키지 타입에 따라 달라진다.

▶ 패키징 단계 (부품 ‘연결’이 핵심)

출처 : https://news.skhynix.co.kr/2187

1) 내부 연결 형태

A. 범프 타입(=플립칩 타입)

– 범프 : 전기가 통하는 금속 물질로 만들어진 매우 작은 직경의 볼

– 와이어 없이 범프를 통해 캐리어=기판(substrate)과 칩을 바로 연결

– 범프 타입은 패키지의 부피를 줄이고, 소비전력과 신호의 흐름을 개선 (연결 방식의 혁신)

– 참고 블로그 : https://blog.naver.com/notealus/220837731223

B. TSV (Through Silicon Via)

– 적층 구조의 패키징. 칩 패키지의 밀도를 높인 구조

– Via Hole을 뚫어 실리콘 관통전극으로 신호선을 연결하는 방식

– 신호가 빠르고 고밀도화가 가능하다.

* 공통

– 내부연결 이후 몰딩콤파운드를 활용해 성형(연결부위의 화학수지 밀봉)을 한다.

– 몰딩콤파운드 : 제품 최종성형 및 부품고정을 위해 사용한다. 제품 내부의 열을 밖으로 빼내고 제품을 보호하는 역할도 한다.(세라믹, 금속, 플라스틱, EMC(Epoxy Molding Compound) 등)

2) 외부 연결 형태

– 시스템보드와 연결시키는 과정이 외부연결이다.

– 내부연결과 마찬가지로 볼(BGA, Ball Grid Array) 방식을 주로 사용

– 리드프레임 연결은 면적을 줄이는데 한계가 있어 일부 국한된 경우에만 사용된다.(SOIC, SOJ, QFP 등)

출처 : https://news.skhynix.co.kr/2187

– 시스템보드와 연결시키는 과정이 외부연결이다.

– 내부연결과 마찬가지로 볼(BGA, Ball Grid Array) 방식을 주로 사용

– 리드프레임 연결은 면적을 줄이는데 한계가 있어 일부 국한된 경우에만 사용된다.(SOIC, SOJ, QFP 등)

3) 실장 방식

출처 : https://news.skhynix.co.kr/2187

– 표면 실장(Surface Mounter Technology, SMT)이 대부분 쓰인다.(솔더볼을 활용한 BGA가 대표적)

– 인터포저(Interposer) : 시스템보드와 칩 사이에 들어가는 기능성 패키지 기판

▶ 패키징 기술의 발전 (WLP, FOWLP, FOPLP)

출처 : http://www.epnc.co.kr/news/articleView.html?idxno=97055

1) WLP (Wafer Level Package)

– 웨이퍼 다잉(자르기) 단계 전에 먼저 몰딩을 하고 솔더볼을 부착함. 그 이후 다잉을 진행하는 공정

– 여기서 캐리어=기판이 없어진다. 이를 대체하는 공정이 RDL(Redistribution Layer) 공정

– 패키지 두께가 감소하며, 수율이 확보되면 원가절감이 가능하다.

2) FOWLP (Fan Out WLP) (TSMC)

출처 : https://blog.naver.com/cbhong73/220772828608

– AP(Application Proccessor, 스마트폰용 칩)는 I/O(입출력 단자)만 수만 개

– 이러한 I/O는 크기가 다양하므로 이에 맞는 솔더 범프 개발이 어렵다.

– FOWLP는 동일한 크기의 솔더 범프 사용이 가능한 공정

– TSMC는 해당 공정을 통해 칩 제조부터 패키징까지 직접 수행 (경쟁력의 핵심)

​3) FOPLP (Fan Out Panel Level Package) (삼성전자, 삼성전기)

출처 : https://blog.naver.com/shakey7/221394921896

– WLP와 달리, 웨이퍼에서 떼어낸 다이를 PCB 패널에 다시 넣은 후 몰딩을 함. 그리고 RDL 및 솔더볼 배치하고 다잉 진행

– WLP는 웨이퍼(원형)을 사용 / PLP는 직사각형 기판을 사용 (이 덕분에 손실률이 감소하여 생산 효율성 증대)

– PLP가 소형화 및 생산원가 절감 측면에서도 우위

– <네패스>가 기술개발 중

▶ 테스트 부품 : IC Test Socket

출처 : 티에스이 IR 자료

– 인터페이스 보드(Interface Board)와 반도체 패키지를 서로 연결해주는 역할 (*)

(* 인터페이스 보드와 IC 테스트 소켓은 세트)

– 반도체 제품마다 맞춤형으로 설계된다.(반도체에 탈부착되기 때문이다.)

– 종류 : 포고핀 타입(리노공업 등) / 실리콘 러버 타입(ISC 등) (장단점이 극명해서 서로 보완재로 작용한다.)

– 포고핀 타입 : R&D, 비메모리에 강점 / 실리콘 타입 : 메모리, 대량생산에 강점

▶ 기타 용어

– Handler(핸들러) : 테스터 장비에 웨이퍼를 넣고 꺼내주는 물류로봇 장비

(참고글 : 호돌이님 포스팅 – 칩의 분류와 핸들러 )

– SPI(납포도 검사장비), AOI(부품실장 검사장비), MOI(기계가공 검사장비) : <고영>의 광학검사 장비

맺으며

* 삼성반도체 용어 모음

이것만은 꼭! 반도체 용어 모음.ZIP

삼성반도체이야기는 지난 2013년부터 다양한 반도체 용어를 소개해 왔습니다. 많은 양의 콘텐츠가 쌓인 만큼 그 동안 알려드린 반도체 용어를 총정리할 수 있는 콘텐츠를 준비했는데요. 반도체 분야에 관심이 많..

www.samsungsemiconstory.com

‘기술/용어사전’ 카테고리의 글 목록

삼성전자 반도체 공식 블로그. 삼성전자 채용, 반도체 공정, 반도체 직무, 반도체 환경안전, 반도체 웹툰, 반도체 영상, 용인/화성/평택 소통협의회, 소통블로그 등 소개

www.samsungsemiconstory.com

[출처] [산업] 반도체 핵심용어 및 Flow 정리|작성자 행복한동행

키워드에 대한 정보 반도체 용어

다음은 Bing에서 반도체 용어 주제에 대한 검색 결과입니다. 필요한 경우 더 읽을 수 있습니다.

이 기사는 인터넷의 다양한 출처에서 편집되었습니다. 이 기사가 유용했기를 바랍니다. 이 기사가 유용하다고 생각되면 공유하십시오. 매우 감사합니다!

사람들이 주제에 대해 자주 검색하는 키워드 ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편

  • 삼성반도체
  • 삼성전자 반도체
  • 반도체
  • 반도체 백과사전
  • 반도체 지식
  • 반도체 주식
  • 반도체 주가
  • 반도체 전망
  • 반도체 공정
  • 반도체 정의
  • 반도체 공부
  • 삼성전자 주식
  • 세상의 모든 지식
  • 세모지
  • 세상의 모든 지식 반도체
  • 삼성전자 반도체 백과사전
  • 도체 부도체
  • 트렌지스터
  • 반도체란 무엇인가
  • 실리콘밸리
  • 규소
  • 실리콘밸리 반도체
  • 삼성전자 DS부문 면접
  • 삼성전자 ds부문 채용
  • 삼성전자 취업
  • 삼성전자 신입사원
  • 삼성전자 면접
  • 삼성전자 메모리사업부
  • 구독자 이벤트
  • 구독 이벤트
  • 댓글 이벤트
  • 반도체용어
  • 나노
  • 파운드리
  • 팹리스
  • EUV
  • 수율
  • IDM
  • 요약
  • 정리
  • DDI
  • AP
  • D램
  • 스마트폰
  • 반도체 관련주
  • EUV 삼성전자
  • EUV 공정
  • 삼성 EUV

‘삼성 #반도체’가 #알려주는 #14가지 #’반도체 #용어’ #| #반도체 #백과사전 #EP.4 #반도체 #용어(2) #편


YouTube에서 반도체 용어 주제의 다른 동영상 보기

주제에 대한 기사를 시청해 주셔서 감사합니다 ‘삼성 반도체’가 알려주는 14가지 ‘반도체 용어’ | 반도체 백과사전 EP.4 반도체 용어(2) 편 | 반도체 용어, 이 기사가 유용하다고 생각되면 공유하십시오, 매우 감사합니다.

Leave a Comment